Villamos gépek tantárgy tételei

Méret: px
Mutatás kezdődik a ... oldaltól:

Download "Villamos gépek tantárgy tételei"

Átírás

1 Villamos gépek tatárgy tételei 7. tétel Mi a szerepe az áram- és feszültségváltókak? Hogya kapcsolódak a hálózathoz, milye előírások voatkozak a biztoságos üzemeltetésükre, kiválasztásukál milye adatot kell figyelembe vei? Nagy feszültséget és agy áramerősséget em célszerű közvetleül méri. Nagy feszültség közcetie mérésekor egyrészt szigetelési ehézségek adódak, másrészt ehéz az életbiztosági követelméyekek eleget tei. Nagy áramerősség méréséhez agy terjedelmű műszer szükséges (agy keresztmetszetű vezetőből készült tekercs) és gyakori, hogy az áram agy feszültséggel jár együtt, tehát már ezért sem ajálatos műszerbe vezeti. Mérőtraszformátorokkal lehet a váltakozó feszültséget és áramerősséget közvetleül mérhető értékűre csökketei. Természetese ez a csökketés midig aráyos, hogy a lecsökketett feszültségből vagy áramból ki lehesse számítai a mért értéket. Mérőtraszformátorok alkalmazásával ugyaaz a műszer többféle méréshatáro is haszálható. A mérőtraszformátorok kis teljesítméyűek, hisze csak műszerek táplálására szolgálak. Feszültségváltó. Így evezzük a feszültség csökketésére haszálható mérőtraszformátort. Szerkezete, külső felépítése és működési elve hasoló a traszformátoréhoz. A agyobb feszültségű primer tekercsét a méredő feszültségre kapcsoljuk, a kisebb feszültségű szekuder tekercsére kötjük a voltmérőt. A primer és u szekuder oldalt olvadó biztosítóval kell védei. A szekuder oldal egyik kivezetését le kell földeli. A feszültségváltó áttétele a tekercsek évleges feszültségeiek a háyadosa: a = Az áttétel felírható a tekercseke téylegese megjeleő feszültségek háyadosakét is a = és ebből = a Ha tehát a voltmérővel megmért szekuder feszültséget megszorozzuk az áttétellel, akkor megkapjuk a primer feszültséget. A feszültségváltót em midig azoos, belső elleállású műszerhez alkalmazzuk. Az is gyakori, hogy egy feszültségváltóra emcsak voltmérőt kapcsoluk, haem más műszerek (pl. wattmérő, cos ϕ-mérő, fogyasztásmérő) feszültségtekercseit is. Midez azt jeleti, hogy a feszültségváltó terhelése változhat. Ha változik a terhelés, akkor változak a feszültségváltó feszültségesései is, tehát azoos feszültségél más - tehát hibás feszültséget kapuk. A feszültségváltó relatív-hibája: a h = 00% ahol a téyleges, a a mért primer feszültség. A hibaszámítás tehát a műszerekéhcz hasolóa törtéik. Wattmérők, cos ϕ-mérők, fogyasztásmérők is relék feszültségtekercseiek táplálásáál emcsak az léyeges, hogy tt feszültségváltó szekuder feszültségéek agysága potos legye, hamem az is, hogy fázishelyzete a primer feszültségével azoos legye. Általába azoba a primer és a szekuder feszültség ics egymással fázisba. A fáziskülöbség az úgyevezett szöghiba. Jele: δ Felhaszált irodalom: Magyari stvá: Villamos gépek.

2 Villamos gépek tatárgy tételei A feszültségváltókat is potossági osztályokba soroljuk rit a műszereket. A mérési célokat szolgáló feszültségváltók legagyobb megegedett hibája az egyes osztályokba: 0,; 0,; 0,5;,0 és 3,0%. Szöghibájuk: ±5,...4,3 perc. Készülek feszültségváltók relék táplálására is. tt kisebbek a potossági követelméyek. A relatív hibák: ; 3 és 6%. Szöghibájuk: ±4,3...47,5 perc. A feszültségváltók évleges teljesítméyekét látszólagos teljesítméyüket adják meg VAbe: S = S = = Y ahol a terhelő impedacia, Y a terhelő admittacia évleges értéke. Y szabváyos elevezése: évleges teher. A évleges teher a évleges teljesítméyből S Y = A feszültségváltó túlterhelődik, ha a párhuzamosa kapcsolt műszertekercsek eredő admittaciája a évlegesél agyobb. A túlterhelt feszültségváltó hibái a évlegesél agyobbak. A feszültségváltó adattáblája a primer és szekuder évleges feszültséget, a potossági osztályt és a évleges teljesítméyt adja meg. Ebből a évleges admittacia kiszámítható. A primer évleges feszültség általába a szabváyos feszültségekek felel meg, a szekuder évleges feszültség 00, vagy esetleg 0 V. A évleges teljesítméy VA. Három fázis eseté alkalmazhatuk háromfázisú feszültségváltót Yy0 kapcsolásba, három db egysarkúlag szigetelt egyfázisú feszültségváltót, vagy - ha a fázisfeszültség mérésére ics szükség - db kétsarkúlag szigetelt egyfázisú feszültségváltót úgyevezett V" kapcsolásba. V kapcsolású feszültségváltó Felhaszált irodalom: Magyari stvá: Villamos gépek.

3 Villamos gépek tatárgy tételei Ez utóbbi tulajdoképpe olya háromszög kapcsolás, melyek az egyik ága hiáyzik. Az egyik feszültségváltót az R (L) és S (L), a másikat az S (L) és T (L3) fázisokra kapcsoljuk. Hiáyzik a T (L3) és R (L) fázisokra kapcsolt feszültségváltó, de ez em baj, mert a szekuder tekercsek a és b potjai között így is mérhető a primer TR feszültségek megfelelő tr szekuder feszültség. Áramváltó. Ez a mérőtraszformátor az áramerősség csökketésére haszálható. Működési elve a traszformátorétól és a feszültségváltóétól külöbözik. Előbbieket közel álladó feszültségre kapcsoljuk a hálózattal párhuzamosa. Az áramváltó primer tekercsét viszot a hálózattal sorba kell köti úgy, hogy a méredő áram folyjo át rajta. Kapcsaiak jelölése K és L. A szekuder tekercsre kötjük az ampermérőt. Kapcsai: k és l. A szekuder tekercs egyik kapcsát le kell földeli. Áramváltó kapcsolása A traszformátor-és a feszültségváltó primer árama a szekuder terheléstől függ. Az áramváltó primer áramát ( ) viszot kizárólag a fogyasztó szabja meg (az ábrá t a fogyasztó impedaciája). Primer tekercséek impedaciája oly kicsi, hogy ez gyakorlatilag az áramot em befolyásolja. Mit mide traszformátorba, az áramváltóba is a primer és a szekuder gerjesztések külöbsége az üresjárási gerjesztés, mely a fluxust létesíti. Áramváltókba a fluxust létesítő gerjesztés elhayagolható, tehát N N 0 Ebből N = = a N Ez az áramáttétel. Ebből = a. Ha tehát az ampermérővel megmért szekuder áramot megszorozzuk az áramáttétellel, akkor megkapjuk a primer áramot. Az áramáttétel a évleges áramok háyadosakét számítható ki: a = Az = a összefüggés em lehet potos, mert a fluxust létesítő gerjesztést elhayagoltuk. Az áramváltó relatív hibája: a h = 00% ahol a téyleges, a a mért primer áram. Felhaszált irodalom: Magyari stvá: Villamos gépek. 3

4 Villamos gépek tatárgy tételei Wattmérő, fogyasztásmérő és cos ϕ-mérő áramtekercseiek táplálásáál fotos, hogy és fázisba legye. A már előbb említett elhayagolás miatt azoba fázishelyzetük em potosa azoos. Ez a fáziskülöbség a δ szöghiba. A mérési célokat szolgáló áramváltók legagyobb megegedett hibája az egyes potossági osztályokba: 0,; 0,; 0,5; 7,0; 3,0 és 5,0%. Szöghibájuk ±5,...6,9 perc. A relék táplálására szolgáló áramváltók legagyobb relatív hibái: és 3%. Szöghibájuk ±6,9 perc. Az áramváltók terhelése az ampermérő vagy más műszer, ill. relé áramtekercse. Ezek impedaciája kicsi, az áramváltók gyakorlatilag rövidrezárásba üzemelek. Ha egyszerre több műszerrel kell az áramot megméri, akkor több műszert kell a szekuder oldalo sorba kapcsoli. Ezek impedaciája már jeletős lehet. A agyobb impedaciá csak agyobb szekuder idukált feszültség képes az áramot áthajtai. A agyobb idukált feszültséghez agyobb fluxus szükséges, tehát agyobb kell legye a fluxus létesítéséhez szükséges gerjesztés. A terhelés övekedése tehát a hibák övekedését eredméyezi. Az áramváltók évleges teljesítméye S = vagy S = ahol a terhelő impedacia évleges értéke. szabváyos elevezése: érleges terhelés. A évlegei terhelés a évleges teljesítméyből S = Az áramváltó túlterhelődik, ha a rákapcsolt műszerek és csatlakozó vezetékek eredő impedaciája a évlegesél agyobb. A túlterhelt áramváltó hibái agyobbak a megegedettél. Az áramváltók szekuder áramkörét megszakítai em szabad. Az áram megszütetésével ugyais megszűik a szekuder gerjesztés, de a primer gerjesztés változatla marad, hisze az áramot csak a t, fogyasztó befolyásolja. A változatla primer gerjesztéssel most már em tart egyesúlyt a szekuder gerjesztés. A primer gerjesztés ige agy fluxust létesít. Eek kettős következméye va. Egyrészt a szekuder tekercsbe életveszélyes agyságú feszültséget idukálhat, másrészt a agy fluxus miatt megövekvő vasveszteségek károsa felmelegíthetik a vasmagot. Ez utóbbi következméy az áramváltó tökremeését jeletheti. Midezek alapjá természetes, hogy a szekuder körbe olvadó biztosítót alkalmazi em szabad. Ha az ampermérőt üzem közbe az áramkörből ki akarjuk iktati, akkor előbb a k és l kapcsokat rövidre kell zári. Ebből a célból vagy magá az áramváltó cvagy a szekuder kör sorozatkapcsaiál rövidzárási lehetőségről kell godoskodi. Ezt jelképezi a K kapcsoló. Egyfázisú fogyasztó feszültség, áram és teljesítméy mérése mérőváltók alkalmazásával Felhaszált irodalom: Magyari stvá: Villamos gépek. 4

5 Villamos gépek tatárgy tételei Az áramváltó adattáblája a primer és szekuder évleges áramot, a potossági osztályt és a évleges teljesítméyt adja meg. Ebből a évleges terhelő impedacia kiszámítható. A primer évleges áramok szabváyosított kerek értékek. A szekuder évleges áram 5, vagy ritkábba A. A évleges teljesítméy, VA. Egyfázisú fogyasztó feszültség, áram és teljesítméy méréséek kapcsolása látható az ábrá. A mérés mérőtraszformátoroko keresztül törtéik. Figyeljük meg, hogy a voltmérőt és a wattmérő feszültségtekercsét párhuzamosa, az ampermérőt és a wattmérő áramtekercsét sorba kell kapcsoli. Hordozható wattmérő kitérését meg kell szorozi a műszerálladóval, valamit a feszültségváltó áttételével, hogy megkapjuk a teljesítméyt. A kapcsolótábla műszereket már ezek szorzatára skálázzák. Felhaszált irodalom: Magyari stvá: Villamos gépek. 5

Hosszmérés finomtapintóval 2.

Hosszmérés finomtapintóval 2. Mechatroika, Optika és Gépészeti Iformatika Taszék kiadva: 0.0.. Hosszmérés fiomtapitóval. A mérések helyszíe: D. épület 53-as terem. Az aktuális mérési segédletek a MOGI Taszék holapjá érhetők el, a www.mogi.bme.hu

Részletesebben

Az új építőipari termelőiár-index részletes módszertani leírása

Az új építőipari termelőiár-index részletes módszertani leírása Az új építőipari termelőiár-idex részletes módszertai leírása. Előzméyek Az elmúlt évekbe az építőipari árstatisztikába egy új, a korábba haszálatos költségalapú áridextől eltérő termelői ár alapú idexmutató

Részletesebben

Ingatlanfinanszírozás és befektetés

Ingatlanfinanszírozás és befektetés Nyugat-Magyarországi Egyetem Geoiformatikai Kar Igatlameedzser 8000 Székesfehérvár, Pirosalma u. 1-3. Szakiráyú Továbbképzési Szak Igatlafiaszírozás és befektetés 2. Gazdasági matematikai alapok Szerzı:

Részletesebben

18. Differenciálszámítás

18. Differenciálszámítás 8. Differeciálszámítás I. Elméleti összefoglaló Függvéy határértéke Defiíció: Az köryezetei az ] ε, ε[ + yílt itervallumok, ahol ε > tetszőleges. Defiíció: Az f függvéyek az véges helye vett határértéke

Részletesebben

Rajzolja fel a helyettesítő vázlatot és határozza meg az elemek értékét, ha minden mennyiséget az N2 menetszámú, szekunder oldalra redukálunk.

Rajzolja fel a helyettesítő vázlatot és határozza meg az elemek értékét, ha minden mennyiséget az N2 menetszámú, szekunder oldalra redukálunk. Villams Gépek Gyakrlat 1. 1.S = 100 kva évleges teljesítméyű egyfázisú, köpey típusú traszfrmátr (1. ábra) feszültsége U 1 /U = 5000 / 400 V. A meetfeszültség effektív értéke U M =4,6 V, a frekvecia f=50hz.

Részletesebben

Rudas Tamás: A hibahatár a becsült mennyiség függvényében a mért pártpreferenciák téves értelmezésének egyik forrása

Rudas Tamás: A hibahatár a becsült mennyiség függvényében a mért pártpreferenciák téves értelmezésének egyik forrása Rudas Tamás: A hibahatár a becsült meyiség függvéyébe a mért ártrefereciák téves értelmezéséek egyik forrása Megjelet: Agelusz Róbert és Tardos Róbert szerk.: Mérésről mérésre. A választáskutatás módszertai

Részletesebben

I. FEJEZET BICIKLIHIÁNYBAN

I. FEJEZET BICIKLIHIÁNYBAN I FEJEZET BICIKLIHIÁNYBAN 1 Az alapfeladat 1 Feladat Két település közti távolság 40 km Két gyerekek ezt a távolságot kellee megteie a lehetőlegrövidebb időalattakövetkező feltételek mellett: Va egy biciklijük

Részletesebben

Az iparosodás és az infrastrukturális fejlődés típusai

Az iparosodás és az infrastrukturális fejlődés típusai Az iparosodás és az ifrastrukturális fejlődés típusai Az iparosodás és az ifrastrukturális fejlődés kapcsolatába törtéelmileg három fejlődési típus vázolható fel: megelőző, lácszerűe együtt haladó, utólagosa

Részletesebben

3.3 Fogaskerékhajtások

3.3 Fogaskerékhajtások PTE, PMMK Stampfer M.: Gépelemek II / Mechaikus hajtások II / 7 / 3.3 Fogaskerékhajtások Jó tulajoságaikak köszöhetőe a fogaskerékhajtóművek a legelterjetebbek az összes mechaikus hajtóművek közül. A hajtás

Részletesebben

Hálózati transzformátorok méretezése

Hálózati transzformátorok méretezése KÁLMÁN Telefogyár ISTVÁN Hálózati traszformátorok méretezése ETO 62.34.2.00.2 dolgozat célja olya számítási eljárás megadása, amelyek segítségével gyorsa és a gyakorlat igéyeit kielégítő potossággal lehet

Részletesebben

képzetes t. z = a + bj valós t. a = Rez 5.2. Műveletek algebrai alakban megadott komplex számokkal

képzetes t. z = a + bj valós t. a = Rez 5.2. Műveletek algebrai alakban megadott komplex számokkal 5. Komplex számok 5.1. Bevezetés Taulmáyaik sorá többször volt szükség az addig haszált számfogalom kiterjesztésére. Először csak természetes számokat ismertük, később haszáli kezdtük a törteket, illetve

Részletesebben

Azonos névleges értékű, hitelesített súlyokból alkotott csoportok együttes mérési bizonytalansága

Azonos névleges értékű, hitelesített súlyokból alkotott csoportok együttes mérési bizonytalansága Azoos évleges értékű, htelesített súlyokból alkotott csoportok együttes mérés bzoytalasága Zeleka Zoltá* Több mérés feladatál alkalmazak súlyokat. Sokszor ezek em egyekét, haem külöböző társításba kombácókba

Részletesebben

Nagyméretű nemlineáris közúti közlekedési hálózatok speciális analízise

Nagyméretű nemlineáris közúti közlekedési hálózatok speciális analízise Nagyméretű emlieáris közúti közlekedési hálózatok speciális aalízise Dr. Péter Tamás* *Budapesti Műszaki és Gazdaságtudomáyi Egyetem Közlekedéautomatikai Taszék (tel.: +36--46303; e-mail: peter.tamas@mail.bme.hu

Részletesebben

ANDRÁS SZILÁRD, CSAPÓ HAJNALKA, NAGY ÖRS SIPOS KINGA, SOÓS ANNA, SZILÁGYI JUDIT

ANDRÁS SZILÁRD, CSAPÓ HAJNALKA, NAGY ÖRS SIPOS KINGA, SOÓS ANNA, SZILÁGYI JUDIT ANDRÁS SZILÁRD, CSAPÓ HAJNALKA, NAGY ÖRS SIPOS KINGA, SOÓS ANNA, SZILÁGYI JUDIT KÍVÁNCSISÁGVEZÉRELT MATEMATIKA TANÍTÁS STÁTUS KIADÓ CSÍKSZEREDA, 010 c PRIMAS projekt c Adrás Szilárd Descrierea CIP a Bibliotecii

Részletesebben

Jelen tanulmány tartalma nem feltétlenül tükrözi az Európai Unió hivatalos álláspontját.

Jelen tanulmány tartalma nem feltétlenül tükrözi az Európai Unió hivatalos álláspontját. Jele taulmáy tartalma em feltétleül tükrözi az Európai Uió hivatalos álláspotját. TARTALOMJEGYZÉK 1 GEOTERMIKUS HŐHASZ OSÍTÁS LEHETŐSÉGEI... 4 1.1 Direkt hévíz haszosítási javaslat... 4 1.2 Hőszivattyús

Részletesebben

1. Adatok közelítése. Bevezetés. 1-1 A közelítő függvény

1. Adatok közelítése. Bevezetés. 1-1 A közelítő függvény Palácz Béla - Soft Computig - 11-1. Adatok közelítése 1. Adatok közelítése Bevezetés A természettudomáyos feladatok megoldásához, a vizsgált jeleségek, folyamatok főbb jellemzői közötti összefüggések ismeretére,

Részletesebben

Csapágyak üzem közbeni vizsgálata a csavarhúzótól a REBAM 1 -ig 2

Csapágyak üzem közbeni vizsgálata a csavarhúzótól a REBAM 1 -ig 2 ÜZEMFENNTARTÁSI TEVÉKENYSÉGEK 3.9 Csapágyak üzem közbei vizsgálata a csavarhúzótól a REBAM 1 -ig 2 Gergely Mihály okl. gépészmérök, Acceleratio Bt. Budapest Tóbis Zsolt doktoradusz, Miskolci Egyetem Gépelemek

Részletesebben

FANTASZTIKUS KOMBINATORIKA. Adva van n különböző elem. A kiválasztás sorrendje számít VARIÁCIÓ. mateking.hu

FANTASZTIKUS KOMBINATORIKA. Adva van n különböző elem. A kiválasztás sorrendje számít VARIÁCIÓ. mateking.hu FANTASZTIKUS KOMBINATORIKA Adva va külöböző elem Kiválasztuk k darabot Vesszük az összes elemet és sorba rakjuk A kiválasztás sorredje számít A kiválasztás sorredje em számít PERMUTÁCIÓ P matekig.hu Ha

Részletesebben

5. Kombinatorika. 8. Legfeljebb hány pozitív egész számot adhatunk meg úgy, hogy semelyik kettő összege és különbsége se legyen osztható 2015-tel?

5. Kombinatorika. 8. Legfeljebb hány pozitív egész számot adhatunk meg úgy, hogy semelyik kettő összege és különbsége se legyen osztható 2015-tel? 5. Kombiatorika I. Feladatok. Háyféleképpe olvashatók ki az alábbi ábrákról a PAPRIKAJANCSI, a FELADAT és a MATEMATIKASZAKKÖR szavak, ha midig a bal felső sarokból kell iduluk, és mide lépésük csak jobbra

Részletesebben

A HŐMÉRSÉKLETI SUGÁRZÁS

A HŐMÉRSÉKLETI SUGÁRZÁS A HŐMÉRSÉKLETI SUGÁRZÁS 1. Törtéeti összefoglaló A tizekilecedik század végé a fizikát lezárt tudomáyak tartották. A sikeres Newto-i mechaika és gravitációs elmélet alapjá a Napredszer bolygóiak mozgása

Részletesebben

KAOTIKUS VAGY CSAK ÖSSZETETT? Labdák pattogása lépcsôn

KAOTIKUS VAGY CSAK ÖSSZETETT? Labdák pattogása lépcsôn A FIZIKA TANÍTÁSA KAOTIKUS VAGY CSAK ÖSSZETETT? Labdák pattogása lépcsô Griz Márto ELTE Elméleti Fizikai Taszék Meszéa Tamás Ciszterci Red Nagy Lajos Gimázima Pécs, a Fizika taítása PhD program hallgatója

Részletesebben

Tranziens káosz nyitott biliárdasztalokon

Tranziens káosz nyitott biliárdasztalokon Eötvös Lorád Tudomáyegyetem Természettudomáyi kar Vicze Gergely Trazies káosz yitott biliárdasztaloko Msc szakdolgozat Témavezető: Tél Tamás, egyetemi taár Elméleti Fizikai Taszék Budapest, 2012 1 Tartalom

Részletesebben

1.1 Példa. Polinomok és egyenletek. Jaroslav Zhouf. Első rész. Lineáris egyenletek. 1 A lineáris egyenlet definíciója

1.1 Példa. Polinomok és egyenletek. Jaroslav Zhouf. Első rész. Lineáris egyenletek. 1 A lineáris egyenlet definíciója Poliomok és egyeletek Jaroslav Zhouf Első rész Lieáris egyeletek A lieáris egyelet defiíciója A következő formájú egyeleteket: ahol a, b valós számok és a + b 0, a 0, lieáris egyeletek hívjuk, az ismeretle

Részletesebben

Debreceni Egyetem, Közgazdaság- és Gazdaságtudományi Kar. Feladatok a Gazdasági matematika I. tárgy gyakorlataihoz. Halmazelmélet

Debreceni Egyetem, Közgazdaság- és Gazdaságtudományi Kar. Feladatok a Gazdasági matematika I. tárgy gyakorlataihoz. Halmazelmélet Debrecei Egyetem Közgazdaság- és Gazdaságtudomáyi Kar Feladatok a Gazdasági matematika I. tárgy gyakorlataihoz a megoldásra feltétleül ajálott feladatokat jelöli e feladatokat a félév végére megoldottak

Részletesebben

a legjobb kezekben K&H Csoport

a legjobb kezekben K&H Csoport a legjobb kezekbe A K&H Biztosító 1992 óta működik Magyarországo, és közel félmillió ügyfelet szolgál ki. A K&H Biztosító a magyar piac sajátosságait figyelembe véve alakította ki szolgáltatási palettáját,

Részletesebben

A statisztika részei. Példa:

A statisztika részei. Példa: STATISZTIKA Miért tauljuk statisztikát? Mire haszálhatjuk? Szakirodalom értő és kritikus olvasásához Mit állít egyáltalá a cikk? Korrektek-e a megállaítások? Vizsgálatok (kísérletek és felmérések) tervezéséhez,

Részletesebben

Háromfázisú hálózat.

Háromfázisú hálózat. Háromfázisú hálózat. U végpontok U V W U 1 t R S T T U 3 t 1 X Y Z kezdőpontok A tekercsek, kezdő és végpontjaik jelölése Ha egymással 10 -ot bezáró R-S-T tekercsek között két pólusú állandó mágnest, vagy

Részletesebben

Minőségirányítási rendszerek 8. előadás 2013.05.03.

Minőségirányítási rendszerek 8. előadás 2013.05.03. Miőségiráyítási redszerek 8. előadás 2013.05.03. Miőségtartó szabályozás Elleőrző kártyák miősítéses jellemzőkre Két esete: A termékre voatkozó adat: - valamely jellemző alapjá megfelelő em megfelelő:

Részletesebben

Példa: 5 = = negatív egész kitevő esete: x =, ha x 0

Példa: 5 = = negatív egész kitevő esete: x =, ha x 0 Ha mást em moduk, szám alatt az alábbiakba, midig alós számot értük. Műeletek összeadás: Példa: ++5 tagok: amiket összeaduk, az előző éldába a, az és az 5 szorzás: Példa: 5 téezők: amiket összeszorzuk,

Részletesebben

K Ü L Ö N L E G E S T R A N S Z F O R M Á T O R O K

K Ü L Ö N L E G E S T R A N S Z F O R M Á T O R O K VILLANYSZERELŐ KÉPZÉS 0 5 K Ü L Ö N L E G E S T R A N S Z F O R M Á T O R O K ÖSSZEÁLLÍTOTTA NAGY LÁSZLÓ MÉRNÖKTANÁR - - Tartalomjegyzék Különleges transzformátorok fogalma...3 Biztonsági és elválasztó

Részletesebben

Mérések, hibák. 11. mérés. 1. Bevezető

Mérések, hibák. 11. mérés. 1. Bevezető 11. méré Méréek, hibák 1. evezető laboratóriumi muka orá gyakra mérük külöböző fizikai meyiégeket. Ezeket a méréeket bármeyire ügyeek vagyuk i, bármeyire moder digitáli mérőezköz gombjait yomogatjuk i

Részletesebben

Matematikai statisztika

Matematikai statisztika Matematikai statisztika PROGRAMTERVEZŐ INFORMATIKUS alapszak, A szakiráy Arató Miklós Valószíűségelméleti és Statisztika Taszék Természettudomáyi Kar 2019. február 18. Arató Miklós (ELTE) Matematikai statisztika

Részletesebben

A települési hősziget-intenzitás Kárpátalja alföldi részén 1

A települési hősziget-intenzitás Kárpátalja alföldi részén 1 A települési hősziget-itezitás Kárpátalja alföldi részé Molár József, Kakas Móika, Marguca Viola A települési hőszigetek kifejlődéséek vizsgálata az urbaizáció folyamatáak előrehaladásával párhuzamosa

Részletesebben

VI.Kombinatorika. Permutációk, variációk, kombinációk

VI.Kombinatorika. Permutációk, variációk, kombinációk VI.ombiatorika. ermutációk, variációk, kombiációk VI..ermutációk ismétlés élkül és ismétléssel (sorredi kérdések) l..) Az,, számjegyekből, ismétlés élkül, háy háromjegyű szám írható? F. 6 db. va. A feti

Részletesebben

FAIPARI ALAPISMERETEK

FAIPARI ALAPISMERETEK Faipari alapismeretek középszit 0812 ÉRETTSÉGI VIZSGA 2011. október 17. FAIPARI ALAPISMERETEK KÖZÉPSZINTŰ ÍRÁSBELI ÉRETTSÉGI VIZSGA JAVÍTÁSI-ÉRTÉKELÉSI ÚTMUTATÓ NEMZETI ERŐFORRÁS MINISZTÉRIUM Fotos tudivalók

Részletesebben

1. Az absztrakt adattípus

1. Az absztrakt adattípus . Az asztrakt adattípus Az iformatikáa az adat alapvető szerepet játszik. A számítógép, mit automata, adatokat gyűjt, tárol, dolgoz fel (alakít át) és továít. Mi adatak foguk tekitei mide olya iformációt,

Részletesebben

Tartalomjegyzék. 4.3 Alkalmazás: sorozatgyártású tűgörgő átmérőjének jellemzése

Tartalomjegyzék. 4.3 Alkalmazás: sorozatgyártású tűgörgő átmérőjének jellemzése 3 4 Tartalomegyzék. BEVEZETÉS 5. A MÉRÉS 8. A mérés mt folyamat, fogalmak 8. Fotosabb mérés- és műszertechka fogalmak 4.3 Mérés hbák 8.3. Mérés hbák csoportosítása eredetük szert 8.3. A hbák megeleítés

Részletesebben

Tisztelt Olvasó! Minden Kedves oovasónknak Szeretetteljes Karácsonyi Ünnepeket és Boldog Új Esztendõt Kívánunk!

Tisztelt Olvasó! Minden Kedves oovasónknak Szeretetteljes Karácsonyi Ünnepeket és Boldog Új Esztendõt Kívánunk! Tisztelt Olvasó! Semmilye szél em jó aak, kiek ics célul kiszemelt kikötõje. Motaige Carpe diem! (Haszáld ki a jelet!...) Horatius Még el sem kezdõdött a XXI. század, s máris elsõ évéek végé, 2001 decemberébe

Részletesebben

TETÔPONT. e ég e t t v é d e l

TETÔPONT. e ég e t t v é d e l TETÔPONT m a g a z i A C r e a t o H u g a r y K f t. i d ô s z a ko s h í r m a g a z i j a 2 012. m á r c i u s A védelem, amely tűzbe születik A kerámia egób tetőcserépbe égetett védelemről az egób

Részletesebben

n akkor az n elem összes ismétléses ... k l k 3 k 1! k 2!... k l!

n akkor az n elem összes ismétléses ... k l k 3 k 1! k 2!... k l! KOMBINATORIKAI ALAPFOGALMAK A ombiatoria általába a véges halmazora voatozó redezési és leszámlálási feladatoal foglalozi. Az elemi ombiatoria legtöbb esetbe a övetező ét érdés egyiére eresi a választ:

Részletesebben

Vi-vaHA collagen Ajándékozza meg testét és bőrét a megújulás üdeség és a vitalitás érzésével, köszönhetően a

Vi-vaHA collagen Ajándékozza meg testét és bőrét a megújulás üdeség és a vitalitás érzésével, köszönhetően a Vi-va HA collage Ajádékozza meg testét és bőrét a megújulás üdeség és a vitalitás érzésével, köszöhetőe a kollagéek hialurosavak C-vitamiak www.ficlub.eu Vi-va HA collage A 2013-as év FORRADALMI ÚJDONSÁGA

Részletesebben

Elektrotechnika Feladattár

Elektrotechnika Feladattár Impresszum Szerző: Rauscher István Szakmai lektor: Érdi Péter Módszertani szerkesztő: Gáspár Katalin Technikai szerkesztő: Bánszki András Készült a TÁMOP-2.2.3-07/1-2F-2008-0004 azonosítószámú projekt

Részletesebben

ANALÓG-DIGITÁLIS ÉS DIGITÁLIS-ANALÓG ÁTALAKÍTÓK

ANALÓG-DIGITÁLIS ÉS DIGITÁLIS-ANALÓG ÁTALAKÍTÓK F3 Bev. az elektroikába E, Kísérleti Fizika Taszék ANALÓG-IGITÁLIS ÉS IGITÁLIS-ANALÓG ÁTALAKÍTÓK Az A és A átalakítók feladata az aalóg és digitális áramkörök közötti kapcsolat megvalósítása. A folytoos

Részletesebben

csz10 eleje.qxd 2007. 02. 25. 17:51 Page 1 CIVIL SZEMLE

csz10 eleje.qxd 2007. 02. 25. 17:51 Page 1 CIVIL SZEMLE csz10 eleje.qxd 2007. 02. 25. 17:51 Page 1 CIVIL SZEMLE WWW. CIVILSZEMLE.HU IV. ÉVFOLYAM 1. SZÁM csz10 eleje.qxd 2007. 02. 25. 17:51 Page 2 Szerkesztõbizottság/Editorial Board Bíró Edre, Belia Aa, Harsáyi

Részletesebben

(arcsin x) (arccos x) ( x

(arcsin x) (arccos x) ( x ALAPDERIVÁLTAK ( c ) (si ) cos ( ) (cos ) si ( ) ( ) ( tg) cos ( e ) e ( ctg ) si ( a ) a l a ( sh) ch (l ) ( ch) sh (log a ) ( th) l a ch (arcsi ) (arccos ) ( arctg ) DERIVÁLÁSI SZABÁLYOK. ( c ) c. c

Részletesebben

Tartalomjegyzék. Pemutáció 5 Ismétléses permutáció 8 Variáció 9 Ismétléses variáció 11 Kombináció 12 Ismétléses kombináció 13

Tartalomjegyzék. Pemutáció 5 Ismétléses permutáció 8 Variáció 9 Ismétléses variáció 11 Kombináció 12 Ismétléses kombináció 13 Tartalomjegyzék I Kombiatorika Pemutáció Ismétléses permutáció 8 Variáció 9 Ismétléses variáció Kombiáció Ismétléses kombiáció II Valószíségszámítás M/veletek eseméyek között 6 A valószí/ség fogalma 8

Részletesebben

III. FEJEZET FÜGGVÉNYEK ÉS TULAJDONSÁGAIK

III. FEJEZET FÜGGVÉNYEK ÉS TULAJDONSÁGAIK Függvéek és tulajdoságaik 69 III FEJEZET FÜGGVÉNYEK ÉS TULAJDONSÁGAIK 6 Gakorlatok és feladatok ( oldal) Írd egszerűbb alakba: a) tg( arctg ) ; c) b) cos( arccos ) ; d) Megoldás a) Bármel f : A B cos ar

Részletesebben

Matematikai játékok. Svetoslav Bilchev, Emiliya Velikova

Matematikai játékok. Svetoslav Bilchev, Emiliya Velikova Első rész Matematikai tréfák Matematikai játékok Svetoslav Bilchev, Emiliya Velikova A következő matematikai játékokba matematikai tréfákba a végső eredméy a játék kiidulási feltételeitől függ, és em a

Részletesebben

CIVILEK A NYOMTATOTT SAJTÓBAN ÉRDEKÉRVÉNYESÍTÉS A MÉDIÁBAN 1

CIVILEK A NYOMTATOTT SAJTÓBAN ÉRDEKÉRVÉNYESÍTÉS A MÉDIÁBAN 1 csz12 elm filosz.qxd 2007. 06. 13. 14:53 Page 111 CIVILEK A NYOMTATOTT SAJTÓBAN ÉRDEKÉRVÉNYESÍTÉS A MÉDIÁBAN 1 Beszedics Otília Bevezetõ A 2003. augusztus 1. és 2007. február 28. közötti idõszakba a GPS

Részletesebben

E G Y F Á Z I S Ú T R A N S Z F O R M Á T O R

E G Y F Á Z I S Ú T R A N S Z F O R M Á T O R VILLANYSZERELŐ KÉPZÉS 0 5 E G Y F Á Z I S Ú T R A N S Z F O R M Á T O R ÖSSZEÁLLÍTOTTA NAGY LÁSZLÓ MÉRNÖKTANÁR - - Tartalomjegyzék Villamos gépek fogalma, felosztása...3 Egyfázisú transzformátor felépítése...4

Részletesebben

10. évfolyam, harmadik epochafüzet

10. évfolyam, harmadik epochafüzet 0. évfolyam, harmadik epochafüzet (Sorozatok, statisztika, valószíűség) Tulajdoos: MÁSODIK EPOCHAFÜZET TARTALOM I. Sorozatok... 4 I.. Sorozatok megadása, defiíciója... 4 I.. A számtai sorozat... 0 I...

Részletesebben

TENYÉSZTÉSES MIKROBIOLÓGIAI VIZSGÁLATOK II. 1. Mikroorganizmusok számának meghatározása telepszámlálásos módszerrel

TENYÉSZTÉSES MIKROBIOLÓGIAI VIZSGÁLATOK II. 1. Mikroorganizmusok számának meghatározása telepszámlálásos módszerrel TENYÉSZTÉSES MIKROBIOLÓGIAI VIZSGÁLATOK II. 1. Mikroorgaizmusok számáak meghatározása telepszámlálásos módszerrel A telepszámlálásos módszerek esetébe a teyésztést szilárd táptalajo végezzük, így - szembe

Részletesebben

Walltherm rendszer. Magyar termék. 5 év rendszergaranciával. Felületfûtés-hûtés Épületszerkezet-temperálás padlófûtés

Walltherm rendszer. Magyar termék. 5 év rendszergaranciával. Felületfûtés-hûtés Épületszerkezet-temperálás padlófûtés Walltherm redszer 5 év redszergaraciával Felületfûtés-hûtés Épületszerkezet-temperálás padlófûtés Magyar termék WALLTHERM felületfûtés-hûtési redszer Egy fûtési- (hûtési) redszer kialakítása elôtt számtala

Részletesebben

PÉLDATÁR A SZÁMÍTÓGÉPES TESZTHEZ. Írta Dr. Huzsvai László

PÉLDATÁR A SZÁMÍTÓGÉPES TESZTHEZ. Írta Dr. Huzsvai László PÉLDATÁR A SZÁMÍTÓGÉPES TESZTHEZ Írta Dr. Huzsvai László Debrece 2012 Tartalomjegyzék Bevezetés...1 Viszoyszámok...1 Középértékek (átlagok)...2 Szóródási mutatók...4 Idexek...7 Furfagos kérdések...8 Bevezetés

Részletesebben

Matematika I. 9. előadás

Matematika I. 9. előadás Matematika I. 9. előadás Valós számsorozat kovergeciája +-hez ill. --hez divergáló sorozatok A határérték és a műveletek kapcsolata Valós számsorozatok mootoitása, korlátossága Komplex számsorozatok kovergeciája

Részletesebben

AZ ÉPÜLETGÉPÉSZETI RENDSZEREK ENERGIA-HATÉKONYSÁGÁNAK KÉRDÉSEI

AZ ÉPÜLETGÉPÉSZETI RENDSZEREK ENERGIA-HATÉKONYSÁGÁNAK KÉRDÉSEI AZ ÉÜLETGÉÉSZETI RENDSZEREK ENERGIA-HATÉKONYSÁGÁNAK KÉRDÉSEI Szivattyúzás - rövide örös Szilárd Cetrifugál szivattyú Nyomó oldal Járókerék Járókerék lapát Járókerék él Járókerék csavar a szállított közeg

Részletesebben

A pályázat címe: Rugalmas-képlékeny tartószerkezetek topológiai optimalizálásának néhány különleges feladata

A pályázat címe: Rugalmas-képlékeny tartószerkezetek topológiai optimalizálásának néhány különleges feladata 6. év OTKA zárójeletés: Vezető kutató:kalszky Sádor OTKA ylvátartás szám T 4993 A pályázat címe: Rugalmas-képlékey tartószerkezetek topológa optmalzálásáak éháy külöleges feladata (Részletes jeletés) Az

Részletesebben

7. el adás Becslések és minta elemszámok. 7-1. fejezet Áttekintés

7. el adás Becslések és minta elemszámok. 7-1. fejezet Áttekintés 7. el adás Becslések és mita elemszámok 7-1. fejezet Áttekités 7-1 Áttekités 7- A populáció aráy becslése 7-3 A populáció átlag becslése: σismert 7-4 A populáció átlag becslése: σem ismert 7-5 A populáció

Részletesebben

A PÉNZ IDİÉRTÉKE. Egy jövıbeni pénzösszeg jelenértéke:

A PÉNZ IDİÉRTÉKE. Egy jövıbeni pénzösszeg jelenértéke: A PÉNZ IDİÉRTÉKE A péz értéke többek között az idı függvéye. Ha idıbe késıbb jutuk hozzá egy jövedelemhez, akkor elveszítjük aak lehetıségét, hogy az eltelt idıbe azt befektessük, azaz elesük aak hozamától,

Részletesebben

Ingatlanok értékelése hozamszámítással 1-2. 1

Ingatlanok értékelése hozamszámítással 1-2. 1 Piaci érték: Igatlaok értékelése hozamszámítással 1-2. 1 Elıadás Igatlavagyo-értékelı és közvetítı Szakképzés, Igatlakezelı Szakképzés A-. modul Az az ár, amelyért az igatla méltá- yosa,, magájogi szerzıdés

Részletesebben

Pályázat címe: Pályázati azonosító: Kedvezményezett: Szegedi Tudományegyetem Cím: 6720 Szeged, Dugonics tér 13. www.u-szeged.hu www.palyazat.gov.

Pályázat címe: Pályázati azonosító: Kedvezményezett: Szegedi Tudományegyetem Cím: 6720 Szeged, Dugonics tér 13. www.u-szeged.hu www.palyazat.gov. Pályázat címe: Új geerációs sorttudomáyi kézés és tartalomfejlesztés, hazai és emzetközi hálózatfejlesztés és társadalmasítás a Szegedi Tudomáyegyeteme Pályázati azoosító: TÁMOP-4...E-5//KONV-05-000 Sortstatisztika

Részletesebben

7. ELŐADÁS VÍZI SZÁLLÍTÁS A GLOBÁLIS LOGISZTIKÁBAN

7. ELŐADÁS VÍZI SZÁLLÍTÁS A GLOBÁLIS LOGISZTIKÁBAN 7. ELŐADÁS VÍZI SZÁLLÍTÁS A GLOBÁLIS LOGISZTIÁBAN A terészetes folyai, illetve tegeri utakat igéybe vevő, csak a kikötővel redelkező helyeket felkeresi tudó szállítási ód. A vízi áruszállítást elsősorba

Részletesebben

2 x. Ez pedig nem lehetséges, mert ilyen x racionális szám nincs. Tehát f +g nem veszi fel a 0-t.

2 x. Ez pedig nem lehetséges, mert ilyen x racionális szám nincs. Tehát f +g nem veszi fel a 0-t. Ászpóke csapat Kalló Beát, Nagy Baló Adás Nagy Jáos, éges Máto Fazekas tábo 008. Igaz-e, hogy ha az f, g: Q Q függvéyek szigoúa ooto őek és étékkészletük a teljes Q, akko az f g függvéy étékkészlete is

Részletesebben

DIGITÁLIS DOMBORZATMODELLEK ELŐÁLLÍTÁSI TECHNOLÓGIÁI ÉS MINŐSÉGI PARAMÉTEREI

DIGITÁLIS DOMBORZATMODELLEK ELŐÁLLÍTÁSI TECHNOLÓGIÁI ÉS MINŐSÉGI PARAMÉTEREI Koós Tamás Zríyi Miklós Nemzetvédelmi Egyetem koos.tamas@zme.hu DIGITÁLIS DOMBORZATMODELLEK ELŐÁLLÍTÁSI TECHNOLÓGIÁI ÉS MINŐSÉGI PARAMÉTEREI Absztrakt A tériformatikai szoftverek egyre szélesebb köre képes

Részletesebben

GAZDASÁGI MATEMATIKA 1. ANALÍZIS

GAZDASÁGI MATEMATIKA 1. ANALÍZIS SZENT ISTVÁN EGYETEM GAZDASÁGI, AGRÁR- ÉS EGÉSZSÉGTUDOMÁNYI KAR Dr. Szakács Attila GAZDASÁGI MATEMATIKA. ANALÍZIS Segédlet öálló mukához. átdolgozott, bővített kiadás Békéscsaba, Lektorálták: DR. PATAY

Részletesebben

Orosz Gyula: Markov-láncok. 2. Sorsolások visszatevéssel

Orosz Gyula: Markov-láncok. 2. Sorsolások visszatevéssel Orosz Gyula: Marov-láco 2. orsoláso visszatevéssel Néháy orét feladat segítségével vezetjü be a Marov-láco fogalmát és a hozzáju acsolódó megoldási módszereet, tiius eljárásoat. Ahol lehet, több megoldást

Részletesebben

Hűtés és fagyasztás 2014 108-001_Ost_HU.indd 1 108-001_Ost_HU.indd 1 16.12.13 12:41 16.12.13 12:41

Hűtés és fagyasztás 2014 108-001_Ost_HU.indd 1 108-001_Ost_HU.indd 1 16.12.13 12:41 16.12.13 12:41 Hűtés és fagyasztás 0 0 alapos ok arra, hogy Liebherr teréket vásároljo 6 A tapasztalat, ai száít BioFresh bizoyíthatóa egészségesebb A Liebherr, it a hűtő- és fagyasztó készülékek szakértője, ár több

Részletesebben

Kontingencia táblák. Khi-négyzet teszt. A nullhipotézis felállítása. Kapcsolatvizsgálat kategorikus változók között.

Kontingencia táblák. Khi-négyzet teszt. A nullhipotézis felállítása. Kapcsolatvizsgálat kategorikus változók között. Kotigecia táblák. Khi-égyzet tet 1. Függetleségvizsgálat. Illekedésvizsgálat 3. Homogeitásvizsgálat Példa 1 em ő 8 75 13 Ismétlés: változók, mérési skálák típusai 48 49 97 76 14 jeles (5) jó (4) közepes

Részletesebben

6. MÉRÉS ASZINKRON GÉPEK

6. MÉRÉS ASZINKRON GÉPEK 6. MÉRÉS ASZINKRON GÉPEK A techikai fejlettég mai zívoalá az azikro motor a legelterjedtebb villamo gép, amely a villamo eergiából mechaikai eergiát (forgó mozgát) állít elő. Térhódítáát a háromfáziú váltakozó

Részletesebben

2. AZ INFORMÁCIÓS TÁRSADALOM ÉRTELMEZÉSI DIFFERENCIÁINAK TERÜLETI KÖVETKEZMÉNYEI

2. AZ INFORMÁCIÓS TÁRSADALOM ÉRTELMEZÉSI DIFFERENCIÁINAK TERÜLETI KÖVETKEZMÉNYEI 2. AZ INFORMÁCIÓS TÁRSADALOM ÉRTELMEZÉSI DIFFERENCIÁINAK TERÜLETI KÖVETKEZMÉNYEI 2.1. Az iformációs társadalom és gazdaság fogalmáak külöbözô értelmezései 2.1.1. Az iformációs társadalom Bármely iformációs

Részletesebben

Traszformátorok Házi dolgozat

Traszformátorok Házi dolgozat Traszformátorok Házi dolgozat Horváth Tibor lkvm7261 2008 június 1 Traszformátorok A traszformátor olyan statikus (mozgóalkatrészeket nem tartalmazó) elektromágneses átalakító, amely adott jellemzőkkel

Részletesebben

3.1.1. Rugalmas elektronszórás; Recoil- és Doppler-effektus megfigyelése

3.1.1. Rugalmas elektronszórás; Recoil- és Doppler-effektus megfigyelése 3.1.1. Rugalmas elektroszórás 45 3.1.1. Rugalmas elektroszórás; Recoil- és Doppler-effektus megfigyelése Aray, ikkel, szilícium és grafit mitákról rugalmasa visszaszórt elektrook eergiaeloszlását mértem

Részletesebben

A függvénysorozatok olyanok, mint a valós számsorozatok, csak éppen a tagjai nem valós számok,

A függvénysorozatok olyanok, mint a valós számsorozatok, csak éppen a tagjai nem valós számok, l.ch FÜGGVÉNYSOROZATOK, FÜGGVÉNYSOROK, HATVÁNYSOROK Itt egy függvéysorozat: f( A függvéysorozatok olyaok, mit a valós számsorozatok, csak éppe a tagjai em valós számok, 5 haem függvéyek, f ( ; f ( ; f

Részletesebben

Tartalom. Bevezetés... 9

Tartalom. Bevezetés... 9 Tartalom Bevezetés... 9 1. Alapfogalmak...11 1.1. Az anyag szerkezete...11 1.2. A villamos töltés fogalma... 13 1.3. Vezető, szigetelő és félvezető anyagok... 15 1.4. Villamos feszültség és potenciál...

Részletesebben

Hipotézis-ellenırzés (Statisztikai próbák)

Hipotézis-ellenırzés (Statisztikai próbák) Következtetı statisztika 5. Hipotézis-elleırzés (Statisztikai próbák) 1 Egymitás próbák Átlagra, aráyra, Szórásra Hipotézis-vizsgálat Áttekités Egymitás em paraméteres próbák Függetleségvizsgálat Illeszkedésvizsgálat

Részletesebben

VII. A határozatlan esetek kiküszöbölése

VII. A határozatlan esetek kiküszöbölése A határozatla esetek kiküszöbölése 9 VII A határozatla esetek kiküszöbölése 7 A l Hospital szabály A véges övekedések tétele alapjá egy függvéy értékét egy potba közelíthetjük az köryezetébe felvett valamely

Részletesebben

Nevezetes sorozat-határértékek

Nevezetes sorozat-határértékek Nevezetes sorozat-határértékek. Mide pozitív racioális r szám eseté! / r 0 és! r +. Bizoyítás. Jelöljük p-vel, illetve q-val egy-egy olya pozitív egészt, melyekre p/q r, továbbá legye ε tetszőleges pozitív

Részletesebben

5. Mérés Transzformátorok

5. Mérés Transzformátorok 5. Mérés Transzformátorok A transzformátor a váltakozó áramú villamos energia, feszültség, ill. áram értékeinek megváltoztatására (transzformálására) alkalmas villamos gép... Működési elv A villamos energia

Részletesebben

INTERFERENCIA - ÓRAI JEGYZET

INTERFERENCIA - ÓRAI JEGYZET FZKA BSc,. évfolya /. félév, Optika tárgy TERFERECA - ÓRA JEGYZET (Erdei Gábor, Ph.D., 8. AJÁLOTT SZAKRODALOM: ALAPFOGALMAK Klei-Furtak, Optics Richter, Bevezetés a oder optikába Bor-Wolf, Priciples of

Részletesebben

Feladatok megoldása. Diszkrét matematika I. Beadandó feladatok. Bujtás Ferenc (CZU7KZ) December 14, feladat: (A B A A \ C = B)

Feladatok megoldása. Diszkrét matematika I. Beadandó feladatok. Bujtás Ferenc (CZU7KZ) December 14, feladat: (A B A A \ C = B) Diszkrét matematika I. Beadadó feladatok Bujtás Ferec (CZU7KZ) December 14 014 Feladatok megoldása 1..1-6. feladat: (A B A A \ C = B) A B A = A \ C = B igazolása: A B A = B \A = Ø = B = A B (Mivel a B-ek

Részletesebben

10.M ALGEBRA < <

10.M ALGEBRA < < 0.M ALGEBRA GYÖKÖS KIFEJEZÉSEK. Mutassuk meg, hogy < + +... + < + + 008 009 + 009 008 5. Mutassuk meg, hogy va olya pozitív egész szám, amelyre 99 < + + +... + < 995. Igazoljuk, hogy bármely pozitív egész

Részletesebben

FELADATOK A KALKULUS C. TÁRGYHOZ

FELADATOK A KALKULUS C. TÁRGYHOZ FELADATOK A KALKULUS C. TÁRGYHOZ. HALMAZOK RELÁCIÓK FÜGGVÉNYEK. Bizoyítsuk be a halmaz-műveletek alapazoosságait! 2. Legye adott az X halmaz legye A B C X. Ha A B := (A B) (B A) akkor bizoyítsuk be hogy

Részletesebben

2002. március A Magyar Máltai Szeretetszolgálat Játszótereinek lapja II.évf. 3. szám

2002. március A Magyar Máltai Szeretetszolgálat Játszótereinek lapja II.évf. 3. szám 2002. március A Magyar Máltai Szeretetszolgálat Játszótereiek lapja II.évf. 3. szám Kaledárium árcius - Tavaszelő, Böjtmás hava Ébredj, új tavasz jégtörő, sugaras, gallyat gombosító, rügyet rojtosító,

Részletesebben

SIMA FELÜLETŰ MOTO- ROKKAL 0,37 1,1 kw

SIMA FELÜLETŰ MOTO- ROKKAL 0,37 1,1 kw Itelliget Drivesystems, Worldwide Services Services KÖNNYŰFÉM HAJTÓMŰVES MOTOROK HAJTÓMO- ÉS TOR FREKVENCIAVÁLTÓK SIMA FELÜLETŰ MOTO- ROKKAL 0,37 1,1 kw HU KOMPLETT HAJTÁSRENDSZEREK EGY KÉZBŐL KOMPLETT

Részletesebben

FOLYADÉKKRISTÁLY-TELEVÍZIÓK Éber Nándor

FOLYADÉKKRISTÁLY-TELEVÍZIÓK Éber Nándor FLYADÉKKRISTÁLY-TLVÍZIÓK Éber Nádor A 21. SZÁZAD KÉPRNYÔI MTA SZFKI, Budapest A szerezetü és tulajdoságai alapjá a folyadéo és a szilárd ayago özött sajátos átmeetet épezô folyadéristályo felfedezésü (1888)

Részletesebben

Az Európai Unió Tanácsa Brüsszel, 2016. március 30. (OR. en)

Az Európai Unió Tanácsa Brüsszel, 2016. március 30. (OR. en) Az Európai Uió Taácsa Brüsszel, 2016. március 30. (OR. e) 7383/16 ADD 1 ENER 97 FEDŐLAP Küldi: az Európai Bizottság Az átvétel dátuma: 2016. március 22. Címzett: Biz. dok. sz.: Tárgy: a Taács Főtitkársága

Részletesebben

Számsorozatok. 1. Alapfeladatok december 22. sorozat határértékét, ha. 1. Feladat: Határozzuk meg az a n = 3n2 + 7n 5n létezik.

Számsorozatok. 1. Alapfeladatok december 22. sorozat határértékét, ha. 1. Feladat: Határozzuk meg az a n = 3n2 + 7n 5n létezik. Számsorozatok 2015. december 22. 1. Alapfeladatok 1. Feladat: Határozzuk meg az a 2 + 7 5 2 + 4 létezik. sorozat határértékét, ha Megoldás: Mivel egy tört határértéke a kérdés, ezért vizsgáljuk meg el

Részletesebben

Kidolgozott feladatok a nemparaméteres statisztika témaköréből

Kidolgozott feladatok a nemparaméteres statisztika témaköréből Kidolgozott feladatok a emparaméteres statisztika témaköréből A tájékozódást mideféle szíkódok segítik. A feladatok eredeti szövege zöld, a megoldások fekete, a figyelmeztető, magyarázó elemek piros szíűek.

Részletesebben

1. Gyökvonás komplex számból

1. Gyökvonás komplex számból 1. Gyökvoás komplex számból Gyökvoás komplex számból Ismétlés: Ha r,s > 0 valós, akkor r(cosα+isiα) = s(cosβ+isiβ) potosa akkor, ha r = s, és α β a 360 egész számszorosa. Moivre képlete: ( s(cosβ+isiβ)

Részletesebben

Készségszint-mérés és - fejlesztés a matematika kompetencia területén

Készségszint-mérés és - fejlesztés a matematika kompetencia területén Kis Tigris Gimázium és Szkiskol Készségszit-mérés és - fejlesztés mtemtik kompeteci területé Vlj Máté 0. Bevezetés A Második Esély A Második Esély elevezés egy oly okttási strtégiát tkr, melyek egyik legfő

Részletesebben

1. A radioaktivitás statisztikus jellege

1. A radioaktivitás statisztikus jellege A radioaktivitás időfüggése 1. A radioaktivitás statisztikus jellege Va N darab azoos radioaktív atomuk, melyekek az atommagja spotá átalakulásra képes. tegyük fel, hogy ezek em bomlaak tovább. Ekkor a

Részletesebben

FELADATOK a Bevezetés a matematikába I tárgyhoz

FELADATOK a Bevezetés a matematikába I tárgyhoz FELADATOK a Bevezetés a matematiába I tárgyhoz a számítástechia taár főisolai és a programozó matematius szao számára 2004 ovember 4 FIGYELEM: a számtech szaosoa csa a övetező feladato ellee: 2,6,7,8,9-13,16-25,27,31-33

Részletesebben

SZENT ISTVÁN EGYETEM BELSŐÉGÉSŰ MOTOROK MŰKÖDÉSI MIKROFOLYAMATAINAK ANALÍZISE A GÉPÜZEMELTETÉS CÉLJÁBÓL. Doktori értekezés tézisei.

SZENT ISTVÁN EGYETEM BELSŐÉGÉSŰ MOTOROK MŰKÖDÉSI MIKROFOLYAMATAINAK ANALÍZISE A GÉPÜZEMELTETÉS CÉLJÁBÓL. Doktori értekezés tézisei. SZENT ISTVÁN EGYETEM BELSŐÉGÉSŰ MOTOROK MŰKÖDÉSI MIKROFOLYAMATAINAK ANALÍZISE A GÉPÜZEMELTETÉS CÉLJÁBÓL Doktoi étekezés tézisei Bátfai Zoltá Gödöllő 001. A doktoi pogam Címe: Agáeegetika és Köyezetgazdálkodás

Részletesebben

HU / -- Mag rendszer. Padlótisztítás

HU / -- Mag rendszer. Padlótisztítás HU / -- Mag redszer Padlótisztítás Mag redszer Kocepció 2 www.vermop.com Előyei Mag redszer Ameyire iovatív, ayira egyedi. A VERMOP mágeses redszere teljese új módot jelet a felmosóhuzatok tartóra (ill.

Részletesebben

VIZSGÁLATI JEGYZŐKÖNYV

VIZSGÁLATI JEGYZŐKÖNYV INFOWARE Rt. TELEFON: (06)24-465-171 FAX: (06)24-442-139 E-MAIL: mihalkovicst@infoware.hu 2310 SZIGETSZENTMIKLÓS, HATÁR U. 22. AKKREDITÁLÁSI OKIRAT SZÁMA: NAT 1 1732/2014 ZP 333 / 2014 1/19 22000: 3 //

Részletesebben

A logaritmus függvény bevezetése és alkalmazásai

A logaritmus függvény bevezetése és alkalmazásai Eötvös Loád Tudomáyegyetem Temészettudomáyi Ka A logaitmus függvéy bevezetése és alkalmazásai Szakdolgozat Készítette: Témavezető: Lebaov Dóa Mezei Istvá Adjuktus Matematika Bs Alkalmazott Aalízis és Matematikai

Részletesebben

ALGEBRA. egyenlet megoldásait, ha tudjuk, hogy egész számok, továbbá p + q = 198.

ALGEBRA. egyenlet megoldásait, ha tudjuk, hogy egész számok, továbbá p + q = 198. ALGEBRA MÁSODFOKÚ POLINOMOK. Határozzuk meg az + p + q = 0 egyelet megoldásait, ha tudjuk, hogy egész számok, továbbá p + q = 98.. Határozzuk meg az összes olya pozitív egész p és q számot, amelyre az

Részletesebben

Váltakozóáramú hajtások Dr. TARNIK István 2006

Váltakozóáramú hajtások Dr. TARNIK István 2006 AUTOMATIZÁLT VILLAMOS HAJTÁSOK Válakozóáramú hajások Pollack Mihály Műszaki Kar Villamos Hálózaok Taszék Dr. TARNIK Isvá doces Válakozó áramú hajások 1. Aszikro gépek elvi felépíése. 1.1. Az aszikro gépek

Részletesebben

(1. és 2. kérdéshez van vet-en egy 20 oldalas pdf a Transzformátorokról, ide azt írtam le, amit én kiválasztanék belőle a zh-kérdéshez.

(1. és 2. kérdéshez van vet-en egy 20 oldalas pdf a Transzformátorokról, ide azt írtam le, amit én kiválasztanék belőle a zh-kérdéshez. 1. A transzformátor működési elve, felépítése, helyettesítő kapcsolása (működési elv, indukált feszültség, áttétel, felépítés, vasmag, tekercsek, helyettesítő kapcsolás és származtatása) (1. és 2. kérdéshez

Részletesebben

Feladatok GEFIT021B. 3 km

Feladatok GEFIT021B. 3 km Feladatok GEFT021B 1. Egy autóbusz sebessége 30 km/h. z iskolához legközelebb eső két megálló távolsága az iskola kapujától a menetirány sorrendjében 200 m, illetve 140 m. Két fiú beszélget a buszon. ndrás

Részletesebben